厦门

点击搜索

发布

干货收藏AE PR C4D快捷键大全

区域:
厦门 > 思明 > 嘉禾路
类别:
IT认证培训
地址:
厦门市厦禾路839号汇成商业中心写字楼5楼
AE快捷键+软件下载
项目窗口  
新项目 Ctrl+Alt+N  
打开项目 Ctrl+O
打开项目时只打开项目窗口 按住Shift键
打开上次打开的项目 Ctrl+Alt+Shift+P  保存项目 Ctrl+S  
选择上一子项 上箭头
选择下一子项 下箭头  
打开选择的素材项或合成图像 双击
在AE素材窗口中打开影片 Alt+双击
近的合成图像 \
增加选择的子项到近的合成图像中 Ctrl+/
显示所选的合成图像的设置 Ctrl+K
增加所选的合成图像的渲染队列窗口 Ctrl+Shift+/  
引入一个素材文件 Ctrl+i  
引入多个素材文件 Ctrl+Alt+i
替换选择层的源素材或合成图像 Alt+从项目窗口拖动素材项到合成图像  替换素材文件Ctrl+H
设置解释素材选项 Ctrl+F
扫描发生变化的素材 Ctrl+Alt+Shift+L
重新调入素材 Ctrl+Alt+L
新建文件夹 Ctrl+Alt+Shift+N  
记录素材解释方法 Ctrl+Alt+C
应用素材解释方法 Ctrl+Alt+V
设置代理文件 Ctrl+Alt+P
退出 Ctrl+Q
合成图像、层和素材窗口
在打开的窗口中循环 Ctrl+Tab
显示/隐藏标题安全区域和动作安全区域"
显示/隐藏网格 Ctrl+"  
显示/隐藏对称网格 Alt+"
居中的窗口 Ctrl+Alt+\  
动态修改窗口 Alt+拖动属性控制
暂停修改窗口 大写键
在当前窗口的标签间循环 Shift+或Shift+.  
在当前窗口的标签间循环并自动调整大小 Alt+Shift+或Alt+Shift+.  快照(多至4个)Ctrl+F5F6F7F8  显示快照 F5F6F7F8  
快照 Ctrl+Alt+F5F6F7F8
显示通道(RGBA) Alt+1,2,3,4  
带颜色显示通道(RGBA) Alt+Shift+1,2,3,4  
带颜色显示通道(RGBA) Shift+单击通道图标
带颜色显示遮罩通道 Shift+单击ALPHA通道图标

显示窗口和面板  
项目窗口 Ctrl+0  
项目流程视图 F11  
渲染队列窗口 Ctrl+Alt+0
工具箱 Ctrl+1  
信息面板 Ctrl+2
时间控制面板 Ctrl+3  
音频面板 Ctrl+4  
显示/隐藏所有面板 Tab  
General偏好设置 Ctrl+  
新合成图像 Ctrl+N
关闭的标签/窗口 Ctrl+W  
关闭窗口(所有标签) Ctrl+Shift+W  
关闭窗口(除项目窗口) Ctrl+Alt+W  

时间布局窗口中的移动
到工作区开始 Home
到工作区结束 Shift+End
到前一可见关键帧 J  
到后一可见关键帧 K
到前一可见层时间标记或关键帧 Alt+J  
到后一可见层时间标记或关键帧 Alt+K
到合成图像时间标记 主键盘上的0---9  
滚动选择的层到时间布局窗口的顶部 X
滚动当前时间标记到窗口中心 D  
到指定时间 Ctrl+G  

合成图像、时间布局、素材和层窗口中的移动  
到开始处 Home或Ctrl+Alt+左箭头  
到结束处 End或Ctrl+Alt+右箭头  
向前一帧 Page Down或左箭头  
向前十帧 Shift+Page Down或Ctrl+Shift+左箭头
向后一帧 Page Up或右箭头
向后十帧 Shift+Page Up或Ctrl+Shift+右箭头  
到层的入点 i  
到层的出点 o
逼近子项到关键帧、时间标记、入点和出点 Shift+ 拖动子项

预示
开始/停止播放 空格  
从当前时间点预视音频 .(数字键盘
RAM预视 0(数字键盘)
每隔一帧的RAM预视 Shift+0(数字键盘
保存RAM预视 Ctrl+0(数字键盘
快速视频 Alt+拖动当前时间标记
快速音频 Ctrl+拖动当前时间标记  
线框预视 Alt+0(数字键盘)
线框预视时用矩形替代alpha轮廓 Ctrl+Alt+0(数字键盘)
线框预视时保留窗口内容 Shift+Alt+0(数字键盘)  
矩形预视时保留窗口内容 Ctrl+Shift+Alt+0(数字键盘
如未选择层,命令针对所有层

合成图像、层和素材窗口中的编辑
拷贝 Ctrl+C  
复制 Ctrl+D
剪切 Ctrl+X  
粘贴 Ctrl+V
撤消 Ctrl+Z
重做 Ctrl+Shift+Z  
选择全部 Ctrl+A  
取消全部选择 Ctrl+Shift+A或F2
层、合成图像、文件夹、效果更名 Enter(数字键盘)
原应用程序中编辑子项(仅限素材窗口) Ctrl+E

合成图像和时间布局窗口中的层操作  
放在前面 Ctrl+Shift+ ]
向前提一级 Shift+

C4D快捷键
动画模板

倒放F6
播放 F8
到前一个关键点 Ctrl + F 
到前一帧 F 
到下一个关键点 Ctrl + G 
到下一帧 G 
到结束帧 Shift + F 记录的物体 F9 
自动关键帧 Ctrl + F9 
点级别动画开关 L 
到帧 Shift + G 
属性管理器
新建属性管理器 Shift + F5 

顺时针旋转画笔 Alt Alt + . or Alt + ] 
逆时针旋转画笔 Alt + or Alt + [ 
增大画笔尺寸 . or ] 
减小画笔尺寸  or [ 
增加笔触压力 Ctrl + . or Ctrl + ] 
减小笔触压力 Ctrl + or Ctrl + [
增加画笔锐度 Shift + . or Shift + ] 
减小画笔锐度 Shift + or Shift + [ 
浏览器
打开目录 Ctrl + O 
新建目录 Ctrl + N
另存目录 Shift + Ctrl + S 
导入文件夹 Shift + O 
导入文件 Shift + Ctrl + O 
编辑器
打开控制台 Alt + F9 
打开坐标管理器 Shift + F7 
变换坐标系 W 
剪切 Ctrl + X 
关闭窗口 Shift + W 
删除 Backspace 
弹出盒式菜单 V  
打开F-Curve 编辑器 Shift + F4 
主菜单
新建项目 Ctrl + N 
合并项目 Shift + Ctrl + O 
打开项目 Ctrl + O 
关闭所有项目 Shift + Ctrl + F4 
另存物体 Shift + Ctrl + S 
关闭项目 Ctrl + F4 
保存项目 Ctrl + S 
材质管理器
另存材质 Shift + Ctrl + S 
载入材质 Shift + Ctrl + O 
新建材质 Ctrl + N 
打开材质管理器 Shift + F2 
物体管理器
载入物体 Shift + Ctrl + O 
场景信息 Ctrl + I 
将所选物体打组 Alt + G 
将所选物体解组 Shift + G 
打开物体管理器 Shift + F1 
另存物体 Shift + Ctrl + S 
图片浏览器
灰度通道 S 
红色通道 R 
绿色通道 G 
蓝色通道 B 
打开图片浏览器 Shift + F6 
结构管理器
导入ASCII 码 Shift + Ctrl + O 
跳到后 End 
跳到上一选择处 L 
跳到下一选择处 N 
跳到上一页 Page Up 
跳到下一页 Page down 
跳到开始处 Home 
顶点贴图模式 V 
点模式 P 
面模式 O 
UVW模式 U 
时间线
打开时间线 Shift + F3 
视窗
移动视窗 1 Alt + MMB 热键 
缩放视窗 2 Alt + RMB 热键 
旋转视窗 3 Alt + LMB 热键 
使视窗适合所选元素大小 S Alt + S 
使视窗适合物体大小 O Alt + O 
使视窗适合场景(不含摄像机和灯光) H Alt + H 
定制所有视窗 Alt + V 
打开渲染视窗 Ctrl + R 
取消视窗变化 Shift + Ctrl + Z 
重做视窗变化 Shift + Ctrl + Y 
大化视窗1 F1 
大化视窗2 F2 
大化视窗3 F3 
大化视窗4 F4 
转变为四视窗 F5 
切换活动视窗 Page Up 
显示切换快捷菜单 N 
刷新视窗 A 
显示物体手柄 Alt + D 
使用Isoline 编辑 Alt + A 

时间弯曲 J 热键 
建模
加点 M A 
桥接 B M B 
刻刀 M C 
闭合洞口 M D 
创建面 M E 
切割边 M F 
熨斗 M G 
刀 K M H 
磁铁 M I 
镜像 M K 
设置点的位置数据 M L 
移动边 M O 
缝合(点、边) M P 
焊接点 M Q 
权重 HN M R . 热键 
倒角 M S 
挤压 D M T 
向内倒角 I M W 
连续挤压 M X 
平滑扩展 M Y 
法线移动 M Z 
法线缩放 M # 
法线旋转 M  
分离被选择元素 U P 
反转法线 U R 
移除多边形 U E 
细分 U S 
融合 U Z 
塌陷 U C 
三角面转换 U T 
分离 U D 
对齐法线 U A 
挤压 D 
转换为可编辑物体 C 
多边形再三角化 U G 
所选面转为四边形 U U 
移动视窗 1 Alt + MMB 热键 
缩放视窗 2 Alt + RMB 热键 
旋转视窗 3 Alt + LMB 热键 
移动物体 4 热键 
缩放物体 5 热键 
旋转物体 6 热键 
缩放模型 7 热键 
默认建模方式 Alt + Q 
查看更多厦门电脑/网络信息

免责声明:此信息系发布者(UID:515833)自行发布,本站是服务平台,仅提供信息存储空间服务,该信息内容的真实性及合法性由该发布者完全负责。

© lieju.com 联系我们